Professionnel VHDL IDE? [fermé]

y a-t-il une bonne idée pour travailler avec des projets VHDL ? Ou la plupart des professionnels travaillent-ils avec emacs/vim/notepad++ ?

26
demandé sur Martin Thompson 2010-05-20 16:15:02

15 réponses

J'utilise le mode Emacs+VHDL ce qui est génial si vous ne faites pas attention (ou si vous avez déjà grimpé) à la courbe d'apprentissage D'Emacs.

alternativement, vous pouvez essayer Sigasi-HDT qui est basé sur Eclipse et a plus D'interface graphique. Et des outils de recadrage plus puissants à première vue.

28
répondu Martin Thompson 2010-05-20 13:10:53

J'utilise Sigasi HDT depuis sa sortie en janvier (je pense) et j'en suis très satisfait jusqu'à présent. Auparavant, J'utilisais Vim pour tout mon montage (C ou VHDL), mais depuis que je suis passé à Sigasi, je n'ai pas regardé en arrière. Le plugin basé sur Eclipse est bien plus qu'une simple mise en avant de la syntaxe. L'auto-complétion des composants et des entités est un vrai gain de temps et vous éviter beaucoup d'erreurs. Vous pouvez télécharger un essai gratuit sur leur site web ( http://www.sigasi.com ) et je vous encourage à essayer grlib ( http://www.gaisler.com ) pour voir la puissance réelle du plugin.

15
répondu trondd 2014-01-03 17:36:55

Peut-être Xilinx ISE ou Altera Quartus .

j'ai essayé une fois - au moins j'ai voulu essayer - Altera Quartus, mais je suis vite passé à un éditeur normal et j'ai pris GHDL pour la simulation.

mais je ne suis pas un professionnel, donc je suppose qu'ils utilisent certains des deux produits précédents.

10
répondu phimuemue 2010-05-20 12:20:03

les ingénieurs des grandes entreprises de semi-conducteurs utilisent emacs/vim pour éditer le code. L'environnement de développement intégré n'a pas beaucoup de sens là-bas, car un projet est quelques milliers de fichiers HDL pris à différents endroits sur un réseau *NIX, des scripts de configuration pour une douzaine d'outils différents, et des travaux de cron pour faire des constructions fréquentes.

pour des projets FPGA plus simples développés sur Windows j'ai vu des gens utilisant Borland CodeWright, UltraEdit, et Crimson Éditeur.

8
répondu OutputLogic 2010-05-22 20:01:20

mon meilleur pari est d'utiliser VIM pour faire tout ce dont j'ai besoin pour le formatage VHDL. VIM dispose d'une facilité extrêmement puissante pour développer des plugins personnalisés, et aucune quantité D'IDE hopping ne vous donnera toute la personnalisation dont vous avez besoin.

des choses comme les noms de signaux < 20 caractères, les longueurs de procédure < 100 lignes, aucune utilisation de ports de liaison -- tout cela peut être codé dans VIM avec un effort minimal. Vous pouvez en fait exécuter VCOM ou ncvhdl à partir de VIM et ensuite voir où la les erreurs sont.

après avoir dit tout cela, vous pourriez vouloir jeter un oeil à ce que Sigasi a à offrir. Si vous avez besoin de plus d'aide, faites le moi savoir -- ce serait amusant de coder un plugin VIM.

5
répondu Fanatic23 2010-06-05 13:51:00

Emacs + VHDL mode + un compilateur / simulateur + contrôle de code source.

le mode VHDL est configuré pour utiliser le compilateur pour compiler la conception et exécuter la simulation en option.

Emacs peut se connecter avec le contrôle source pour vérifier correctement l'entrée, la différence et la balise.

j'aime personnellement cette configuration car l'éditeur est open source et je peux l'utiliser n'importe où (Mac, Linux, Windows). Le compilateur / simulateur peut être téléchargement gratuit (FPGA vendor sponsored Modelsim ou GHDL) sur un moteur de grille. Une fois installé, il devient presque invisible.

4
répondu George 2010-09-09 12:30:17

Simplifide a également un plugin eclipse qui prend en charge VHDL, Verilog et SystemVerilog qui contient toutes les fonctionnalités IDE standard et plus encore.

il peut être téléchargé à http://simplifide.com

4
répondu Andy Wagner 2010-10-28 21:00:48

J'utilise juste Scite, comme pour la plupart des autres codes.

certaines choses en Scite rendent mon codage VHDL agréable:

  1. la coloration est facile à mettre en place. Télécharger un prêt .fichier de propriétés ou modifier le mode Ada.
  2. Scite a un plugin snippets qui est très utile pour VHDL. Toutes ces définitions ennuyeuses de processus et d'architecture sont générées automatiquement pour moi avec quelques presses à clés.
  3. Scite est facile à personnaliser avec des scripts externes: j'ai des scripts pour compiler le fichier courant, pour fixer l'indentation, pour lister tous les processus et ainsi de suite, les possibilités sont illimitées.
3
répondu Eli Bendersky 2010-08-16 05:43:58

j'ai utilisé Notepad++ avec les outils du fournisseur libre pour éditer ma VHDL sur Windows.

3
répondu Nate 2011-06-15 01:57:28

outre les grands Sigasi et Simplifide il y a aussi ZamiaCad un VHDL libre IDE: http://zamiacad.sourceforge.net/web/

3
répondu deepsubmicron 2013-04-07 12:06:27

Cela dépend du type de projet que vous développez. Modelsim fournit un bon IDE mais l'éditeur de code manque des fonctionnalités avancées que nous sommes habitués à utiliser. J'utilise VIM pour écrire le code, et Modelsim pour simuler, déboguer, etc...

2
répondu mp. 2010-06-03 12:33:17

il y a un autre VHDL-IDE, c'est un plug-in pour Visual Studio, mais pour autant que je puisse voir, il est également disponible en version autonome (c'est à dire, vous n'avez pas besoin d'une licence Visual Studio). Il semble relativement nouveau, mais jusqu'à présent, je l'aime vraiment.

http://www.vide-software.at

2
répondu HuberCoder 2014-02-16 18:08:21

j'ai changé pour utiliser Vim parce qu'il a une très grande liste de plugins pour presque n'importe quelle langue (je crois Qu'Emacs le fait aussi). Vous pourriez ne pas obtenir le meilleur VHDL IDE jamais avec elle, mais vous obtenez une interface familière pour chaque code et non-code que vous devez éditer. De plus, lorsque vous vous déplacez d'une entreprise à l'autre, vous pouvez emporter votre environnement avec vous.

pour ceux qui utilisent Vim, cochez vim-hdl . C'est un plugin sur lequel je travaille qui fournit une vérification de syntaxe basée sur simulateurs / compilateurs. J'utilise quotidiennement ModelSim et jusqu'à présent ça marche bien.

1
répondu suoto 2015-12-05 00:50:23

Emacs avec le mode de langue approprié est mon vote. L'édition de base est assez facile à apprendre et il y a beaucoup d'exemple de fichiers de configuration. Kevin.

0
répondu lsf_design 2015-04-17 16:08:15

J'utilise Xilinx ISE à des fins éducatives, ça marche vraiment bien. Et il a tout. Après avoir demandé une licence étudiante, la version étudiante est libre à utiliser, mais elle prend beaucoup de place. Le fichier d'installation est à lui seul supérieur à 6 Go. Le livre que nous utilisons pour l'école fait référence aux propriétés spéciales de xilinx. ("FGPA de Prototypage par VHDL Exemples"). Je suis aussi en train de programmer sur un banc d'essai nexsys 3 spartan 6, et j'utiliserai xilinx pour une autre année, mais quand j'aurai terminé le cours Je vais chercher quelque chose de plus petit. Heureux de lire sur les alternatives légères.

0
répondu Thomas 2015-08-07 11:35:58